Студопедия

КАТЕГОРИИ:

АвтоАвтоматизацияАрхитектураАстрономияАудитБиологияБухгалтерияВоенное делоГенетикаГеографияГеологияГосударствоДомЖурналистика и СМИИзобретательствоИностранные языкиИнформатикаИскусствоИсторияКомпьютерыКулинарияКультураЛексикологияЛитератураЛогикаМаркетингМатематикаМашиностроениеМедицинаМенеджментМеталлы и СваркаМеханикаМузыкаНаселениеОбразованиеОхрана безопасности жизниОхрана ТрудаПедагогикаПолитикаПравоПриборостроениеПрограммированиеПроизводствоПромышленностьПсихологияРадиоРегилияСвязьСоциологияСпортСтандартизацияСтроительствоТехнологииТорговляТуризмФизикаФизиологияФилософияФинансыХимияХозяйствоЦеннообразованиеЧерчениеЭкологияЭконометрикаЭкономикаЭлектроникаЮриспунденкция

АППАРАТНЫЕ СРЕДСТВА ВСТРОЕННЫХ СИСТЕМ  8 страница




Окно Processes (Процессы) показывает текущий статус параллельных процессов в окончательном проекте во время моделирования.

    Окно Call Stack(Стека вызовов) является инструментом отладки, показывающим список подпрограмм (процедур и функций), выполняемых в текущем процессе.

Library Manager (Администратор библиотеки) разработан для управления библиотеками и их содержанием.

Окно Dataflow(Поток данных)является инструментом для отображения в графической форме последовательности входных и выходных  сигналов процессов во время моделирования.

Окно Memory View (Просмотр  памяти) является инструментом для отображения содержимого памяти (двумерных объектов), объявленной в проекте.

Server Farm(Серверное хозяйство) является продвинутым, независимым инструментом работающим в локальной сети, который позволяет пользователю планировать задачи, а затем их автоматически исполнять на выбранных компьютерах доступных в сети. 

    Code Coverage (Покрытие кода VHDL) позволяет с помощью испытательных программ (test bench) определять выполнение исходного кода.

Design Profiler(Программа протоколирования проекта) показывает, где симулятор тратит время при моделировании и дает возможность понять как используется центральный процессор компьютера.

Source Revision Control(Управление версиями) – это интерфейс взаимодействия с профессиональной системой управления версиями проекта.




Вопросы для самоконтроля

1. Когда целесообразно использовать реконфигурируемую логику?

2. Как в реконфигурируемой логике реализуется многообразие логических функций?

3. Почему для моделирования и синтеза используются разные подмножества языка VHDL?

4. Почему одна entity может содержать несколько архитектурных тел?

5. Почему оператор присваивания значения сигналу в одном случае является последовательным, а в другом параллельным?

6. В чем суть различия переменной и сигнала?

7. Какие  конструкции являются базовыми для задания поведения архитектуры с учетом параллельности выполняемых действий?

8. Какова роль списка чувствительности в операторе process?

9. В каком варианте используется оператор wait для синтеза?

10.  В чем суть событийного моделирования?

11.  Что моделирует сила сигнала?

12.  Что означает второе свойство модели программно-управляемого автомата об ее рекурсивности.

МОДУЛЬ 3










Последнее изменение этой страницы: 2018-04-12; просмотров: 207.

stydopedya.ru не претендует на авторское право материалов, которые вылажены, но предоставляет бесплатный доступ к ним. В случае нарушения авторского права или персональных данных напишите сюда...